From 25050f6f69f27135096786e0cf548d66f1d1289a Mon Sep 17 00:00:00 2001 From: user Date: Tue, 17 Nov 2009 11:53:37 -0800 Subject: [PATCH] Diablo easy-chroot now depending on dm-loop pkgs and building via autobuilder. --- diablo/easy-chroot/build_myapp.py | 63 -------------------- diablo/easy-chroot/control/postinst | 8 +++ diablo/easy-chroot/control/prerm | 5 ++ .../src/etc/osso-af-init/matchbox.defs.orig | 14 ----- diablo/easy-chroot/src/sbin/qmount | 12 +++- .../src/usr/bin/matchbox-window-manager.orig | Bin 93144 -> 0 bytes 6 files changed, 23 insertions(+), 79 deletions(-) delete mode 100755 diablo/easy-chroot/build_myapp.py delete mode 100644 diablo/easy-chroot/src/etc/osso-af-init/matchbox.defs.orig delete mode 100755 diablo/easy-chroot/src/usr/bin/matchbox-window-manager.orig diff --git a/diablo/easy-chroot/build_myapp.py b/diablo/easy-chroot/build_myapp.py deleted file mode 100755 index 4653058..0000000 --- a/diablo/easy-chroot/build_myapp.py +++ /dev/null @@ -1,63 +0,0 @@ - #!/usr/bin/python2.5 - # -*- coding: utf-8 -*- - ## This program is free software; you can redistribute it and/or modify - ## it under the terms of the GNU General Public License as published - ## by the Free Software Foundation; version 2 only. - ## - ## This program is distributed in the hope that it will be useful, - ## but WITHOUT ANY WARRANTY; without even the implied warranty of - ## MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - ## GNU General Public License for more details. - ## - -import py2deb -import os - -if __name__ == "__main__": - try: - os.chdir(os.path.dirname(sys.argv[0])) - except: - pass - print - p=py2deb.Py2deb("easy-chroot") #This is the package name and MUST be in lowercase! - p.description="Scripts to mount and chroot into images/partitions\nFremantle version;\n(This does NOT use the 'turbo-charged' dm-loop for mounting image files.)" - p.author="Alan Bruce (qole)" - p.mail="alan@thebruces.ca" - # now depends on dm-loop packages! Thanks qwerty12 and attila77 - p.depends = "dmsetup, libdevmapper1.02.1, kernel-diablo-modules-dmloop" - p.section="user/system" - p.icon = "/home/user/easychroot/cigar-48.png" - p.distribution="fremantle" - p.arch="armel" #should be all for python, any for all arch - p.urgency="low" #not used in maemo onl for deb os - p.distribution="fremantle" - p.repository="extras-devel" - qolepostinst = open("control/postinst", "r") - p.postinstall=qolepostinst.read() - qolepostrm = open("control/postrm", "r") - p.postremove=qolepostrm.read() - # p.postinstall="control/postinst" - # p.postremove="control/postrm" - # p.preinstall="" - # p.preremove="" - - version = "0.2.1" #Version of your software, e.g. "1.2.0" or "0.8.2" - build = "1diablo1" #Build number - versionbuild = version + "-" + build - #Text with changelog information to be displayed in the package "Details" tab of the HAM - changeloginformation = "First 'free' version for Diablo; thp's patches; depends on dm-loop packages. Thanks qwerty12 and attila77!" - # changelog="control/changelog" - dir_name = "src" #Name of the subfolder containing your package source files - - #Thanks to DareTheHair from talk.maemo.org for this snippet that recursively builds the file list - for root, dirs, files in os.walk(dir_name): - real_dir = root[len(dir_name):] - fake_file = [] - for f in files: - fake_file.append(root + os.sep + f + "|" + f) - if len(fake_file) > 0: - p[real_dir] = fake_file - - print p - r = p.generate(version,build,changelog=changeloginformation,tar=True,dsc=True,changes=True,build=False,src=True) - # r = p.generate(versionbuild,changelog,tar=True,dsc=True,changes=True,build=False,src=True) diff --git a/diablo/easy-chroot/control/postinst b/diablo/easy-chroot/control/postinst index 06f210a..91544e1 100644 --- a/diablo/easy-chroot/control/postinst +++ b/diablo/easy-chroot/control/postinst @@ -2,6 +2,14 @@ set -e +if [ -f "/etc/osso-af-init/orig.matchbox.defs" ] ; then + mv /etc/osso-af-init/orig.matchbox.defs /etc/osso-af-init/matchbox.defs.orig +fi + +if [ -f "/usr/bin/matchbox-window-manager-orig" ] ; then + mv /usr/bin/matchbox-window-manager-orig /usr/bin/matchbox-window-manager.orig +fi + if [ ! -e "/sbin/dmlosetup" ] ; then ln -s /sbin/dmsetup /sbin/dmlosetup fi diff --git a/diablo/easy-chroot/control/prerm b/diablo/easy-chroot/control/prerm index 8658515..05973bc 100644 --- a/diablo/easy-chroot/control/prerm +++ b/diablo/easy-chroot/control/prerm @@ -1,4 +1,9 @@ #!/bin/sh +closechroot + cp /etc/osso-af-init/matchbox.defs.orig /etc/osso-af-init/matchbox.defs cp /usr/bin/matchbox-window-manager.orig /usr/bin/matchbox-window-manager +mv /etc/osso-af-init/matchbox.defs.orig /etc/osso-af-init/orig.matchbox.defs +mv /usr/bin/matchbox-window-manager.orig /usr/bin/matchbox-window-manager-orig + exit 0 \ No newline at end of file diff --git a/diablo/easy-chroot/src/etc/osso-af-init/matchbox.defs.orig b/diablo/easy-chroot/src/etc/osso-af-init/matchbox.defs.orig deleted file mode 100644 index 0c70f86..0000000 --- a/diablo/easy-chroot/src/etc/osso-af-init/matchbox.defs.orig +++ /dev/null @@ -1,14 +0,0 @@ -#!/bin/sh -# matchbox.defs - Matchbox defines - -TITLEBAR=yes -DESKTOPMODE=plain -LOWLIGHT=no -SHOWCURSOR=yes -DIALOGMODE=static -MBTHEME=$DEFAULTMBTHEME -SUPERMODAL=yes - -if [ -f $HOME/.osso/mbtheme ]; then - MBTHEME=`cat $HOME/.osso/mbtheme` -fi diff --git a/diablo/easy-chroot/src/sbin/qmount b/diablo/easy-chroot/src/sbin/qmount index dd70d37..4fe6c74 100755 --- a/diablo/easy-chroot/src/sbin/qmount +++ b/diablo/easy-chroot/src/sbin/qmount @@ -107,8 +107,16 @@ if [ ! -f "$MNTPT/var/lock/qmount-complete" ] ; then if [ "$LOOP" = "loop," ] ; then echo "mounting $IMGFILE on the turbo-loop ;)" >/dev/stderr - insmod /lib/modules/2.6.21-omap1/dm-mod.ko 2>/dev/null - insmod /lib/modules/2.6.21-omap1/dm-loop.ko 2>/dev/null + + if [ -d "/lib/modules/`uname -r`/extra" ] ; then + DMODULEPATH="/lib/modules/`uname -r`/extra" + else + DMODULEPATH=/lib/modules/2.6.21-omap1/extra + fi + + insmod $DMODULEPATH/dm-mod.ko 2>/dev/null + insmod $DMODULEPATH/dm-loop.ko 2>/dev/null + NEXTLOOP=`ls -l /dev/dm-* 2>/dev/null | tail -1 | awk '{print $NF}' | awk -F '-' '{print $NF+1}'` if [ "x$NEXTLOOP" = "x" ] ; then NEXTLOOP=0 diff --git a/diablo/easy-chroot/src/usr/bin/matchbox-window-manager.orig b/diablo/easy-chroot/src/usr/bin/matchbox-window-manager.orig deleted file mode 100755 index da83b94d1f787336dabc0e38d68e2246cef9af4c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 93144 zcmc${e|TI~wa0yCGHF8^VA>=aV}(wdGoN@d+ncVueJ8tYp=cM^rKfTxH=MvIKf}kX>#Cy~;C_>4-B~@IwXl6k;|^Ay(U_tRD)b70L;!Q%0zvlbZE@s0LP08QCTfIZoCO zFEN&a+AB|W{Jbb})Y(cw%T&kFxGD!x{*Yk!q`ekwI(9F5!-PiGde;oCxxo;#qLAaIhF~ZpdNazd_UPHKt z&_&1-77`vMe2}2O0m6fX2MCn}{p}>Yn{Yj$hVVFH58*?Ee!>pI2MD(i^fyfSj7d4p z24dlJCm~1p65(#bw+SC7+(^*hU4%~)AcJ!SA^3YZNDx0p=pig6JVJOY;Rb^KAd7RU zNjc6}iF0{Jov9fbdPi2w^|rKEiy$7Q%AECkWple2(xng8mR2=Upb{|8Gqw z{ZFLdN7!WZ_JzunTx{X{i6s%EgwNZ&B{u&5BYL$R^ep12O;5A2LD!nqb!G(D77az+SlBK?*S93(F$(L%T~$Oy%k+jKMWWj6f|8_%)vMK%ti zw*?8}*V%M=D6f|E1%y_@du)EAjoXPYAyipd(KUo+1ecH^=_W zC5cuMKJ0@h{xALUFN8Lmv)RV~O#Dtlg0R}=z0$_Bi2H0hh|aW$3gUBYI!!#+rf(*$ zw&@NVueGtFU4-|B;2^o1#1{$mHshnjcM#q{*iN{ea0X!>p@HyP!dD0xg8n{9*h=_< z0RA2#bQ5k9aN1uxOnL4z{@RqBh~|^PA0ig~`bu;>@Y0A6_ffy%v%X$b`A*7ffctIz zslYC9!PehM{n^0csp?CYGy{uXf+aWefQMKhfR;Q>~kmQ zUjsgI;AiakKcxLk;2~T8%hYe~^tFrnf12_}%JhHvPk9DddPMj?&v>^12lM|O@Rks)&3+j8X;vBH_mfCkR z{)51c7XB&mDDVpw|33mB01ohzy>b{h!2f*UXMo>g+rJ5T95`*^z0haN%9He|0iPEs zpKtqrC$WS>>qYo1qJ9-{gQeGNsb2^D3R}OGxB*yeRQ10P{t4h_3n!@G0{oJN)6}02 z{J4eBr2Z1%M48_{51a;`wB_%uz&-)4vg6OCzjeUFwtO-00B}I>UhtLur$6z31?5AO z2mJ2?-VN+hE_|m_{{i5DemBwdA>d&CH&tL80q-VX_16*am(cJx1FL8S_#UEsrhy&j z-;jqRz)1_Qr~EnKr!2gI@h5?|SomM)?eRv1rFx>0`)sXa69zr2Tt4i-=%#P zxY5Gbok3gRaSLBg{hh!8JvUT9d%<@6XNgCE1AHG~{Qbb%e}v!fC_e~1YGLvHDd1-; z{B7zNfdAXV4&zClW5Cm$S30kx{tJ{hT6y^rfM?tBA0i$He$K+r5Wfh##Ma*izqMH>ZU4Wfyb5^K z!c$o1b--I}{Z{}t00;7XG2WNR(KWlp91c+a074wIIst{f?s%l zd>iF2Q2wH=fBR|le~9spQNGWPx0w2|FP)UPG;kbv%(kBZpP9hHet#MLH3Dnd2*2M` z-UJ-1r%DQvz*}tj8v0uRJZ|A0#%~1<=y3u4wFA$y{2ru!26(frpP>9U;9$I6ly3nJ z`uj2c4FhK^e?Cun4mi*^-voXTc*yqmBye*FgYg$|20m&CQe^C^FbwCX$fVAd>`^JR|HdUbC{M{4!DHEkJZ z&GKa{d%F9Vt?KAs*4eRoRcF7lGOO1uU%PVI>NTsDt>{^k>FHbD-*KX9Z%21~NAI$} z)oU_c9Zu^ty=}|i)p6_cp0?h0r*-kI-7B2dYuhr{ukLQ|x#i^>nbB@p-QM4+@)bQ@ z%hq=HcC2(-Q*^z$d(~+utX$pIb)us_5T!*=?`f4XT|NE6yQ6E_Evx%Gm$h|eI@?aG zv!W++YiL5Pi#z(S?&m+@< zI}CwD0FujA_V%n<)|cs65vn2<^sZXorV7el+rPStifwB;mbG=SUengUx~Kc^s|U41 zd^|}Xm;{-x`d~-*>Abd_?eAH(rmgR0UrxY1AVR9QC)3f}f2+nbLSwlG8L#T??dhH0 z*4^I41Y57{Z0lataiV(bypF#9-kw`e6N!^khPE)h6)9TL)84V9heY44YfhWism%Mw zOctTw(aPSAzRo}{k;jacs}n4?1PzghCPvCbStoE@cS1HqJ}VVhw5_<=7oL>AnvD2& z_V#omATNWy7DnIAKIP5234~-NQgT}T6C(!*pH{x2tEZdQ_YR3v_uT%THL$B|ZJ$|D zp$VL1>4|SAj*uDY6My*xoI7pS1}SHm z>1J79e_LJu+B#>B^y+0sFbp-W?pd)GB(!j@Wfk=I zu)=};zOP2zUb(in4-M8jx4nHy&*HWZb|6wgx#q%}X3-!O9YM{-{V1w;v+Rxj=|}K< z^3m-{5de4>#JsX=wbpj)+^(*kTMTP`WUlRLU%hg5M{lqiOobKdwEaq>QCkwQr(hz0Cqb!XZTY`Y2#I~K29ev8?0=uX>PFjwty8f!^!TX&zxg2LCR zWTK=4WPFTIC?1q~_sZ3)*7lyj%GYQ|Czw>{xO0l3Oz!?SAdh zYKJ}s7o#kfu8Vwec}mWzp5-?& z_lqwLb;LSuU)$AjN?v=HdcIWStRkFJ*18gtqEF>-ggHvjU)_FURho>&ojtb%{4p6u zSg%^w-+{zQ)K6xw-*niMB3hB_(~%nvON9 zPHWO_Xo(e0pV8&c%9Umhp;`Bew!V%PjM28dM_P8-ihhK88NKz~g7v31Y!Hf8+CguY znI=ry`kfV>?W=p8TiURF$l*VyZ}lo6V0xxk6ANY4_XlEEGW{8>+t+5Cl|8*TJAJ79 z?v+l*x{eiHG+Vu@ZH2LU*sR;I**ezrp*qzIoie!)1;A83S}zva$AcFgEJnSw`ogbI`26XKe-($TVKIO#HBW!kV_# z-NK@aMna}jL(yORx?q>G5SEv-ti$Yr%a$$g>pM|=BF+Bdmr&KD{rH*%@3`X1WtYC8 zNyfbXbasiF{~PeM)X5V6%F|h4qB>a z@Ta^}F$kB0F$?lfjiYwV0P|o}9}aNyj7d|CULe=e_C%K3JA)cBt}71K$VV$R@P`%SD0xaT7nnyB zyPS^|&%#fk_&oeWiqGeqthfRHgyPq7W>$>j??uJ0$1kC{5&w|l3n1hQ;tTOlD82|E zfa2MlpA}yW{T06ff05z@=V--TXwFo8spGhc-^h7dG3%#M@tg1$DZY$zwPG%(n-n+W z7f}3W&ew|H;y6jgZ^bvEm;?KK#h2sHQ2ciMNQ#sAJ`~Tzuc7z~{7H)E;m1>a75rCx zHGU<<*T8?p^WneZcffze?}Yz~-v$2_FM$7wuZ91L-wpp2bJ@C8aSHw`UI_mcFM|Jy z7sG$WOW?oa>)^lQ_rib0*Ta9st?*wl7iuXqstEB-kAS3Cs&72gH_72gg272gB@6%WII#oOS&;_dKX@ecT}cqjZZlTjyc&!$4y>guXk4A6NQS&Z+q+ZM;zySpBQ`f54Vo3duhKHq%)y(XDFQx zrCUSkR46?^lum}y&7pK6lx_^A-B7wNl#Yke6``~fN+0{nN&F{6>G4py5K12jr4NVF z2Se$6C_NfVkA%_>gwnZCdS@s-6iROirL&>*x==b3N_U3R=}@{glum`x^F!%mDBT=N zCqn7QP}&Wp>q6;xC|wasJE8QkKZocaN{@%qg;4rPD1A7TJ{U^pL+Q~_dL)#7Ae7F9 z(mO-xp-_5DD4h+Z*M-uVP`WdePKVO1p>!&go*zmlL+R#FIuS}YhSF{*T^CBnL+Of8 z+6kqP{V7EMP;Y(uq*IF_d;g>AFxl9!gh)(oQIS>{y8Yq4antT?nO* zgwlsY>4TwkK9n8}rAI>P2SVvwD7`b39tx$mgwokidR-`;38gzj>2xUF8cL@^>G`2_ zGL&u(r4ylaV<_#0(siM9Jd~~orJYdv*dIgm52eRL=|U)dB$PfJN*@fR^P%);C_NHN zKM+diLg}5M^iU|hC6vyF((6L$OeozMN~c5V)=)YXO3x3alc98TD4htU8$)R~l&%Y< z&5aH zd&~cJ{OCo5yza@dt5S;RUHq%M++MGaI+eLk6v`62p68`)$r*UG;*G~IDH4xA^!13N z_YiTHF%myvyv3zRVq?@vJYIedb++Z?FH%K+s;BhVjvt?h@itt4BXckQ z)i5@clgSk$*<5j}n=3i-TC1R!4cp$hT!SImjFlTTj?7}SJ2;7#yM}Aqj8EY;QSZzp+xD-6!=hg3ePcP)cMpD zY&_zbmCjN1J&e6Kvi{L=tZ45=+Wek5ZMiDq9D#p&4-;2{!zYRJz+WZaPy7|) zQQ|KVKScaR;t}G{6F*4&IpPP1KSR8a_8A>w<9w-WCl z-a@>Mcp$#l8z8-qxn+rm$h(dB|b7D6kbpD;wo6UGU#A4Hra zAxqdv$P>m1@gGKf&EXo<#p; zXXi?hT&@_+=87*0H+Z`2l3b}Pa=UjGaMzq2UL?&rY1`;^&D!Bf-eSqey{_pyyn~5c zY3ZExCVw<>!W+6~MH* zlYF&FQdVc%%~U(`Tno1|W&`6$K519vxLxn0c6kx!y3*M^r<^i(=kqbz$B-|{iDY#; zbt37_W980!iwU0pY93SO?0nuyZ17B5%BDEi76146@gH_YcAy8cV=vIZ+VZN{N5>y5^ZWj+?VB-A^nEFPPnoWMf9!R$mb=|6$I4U=+ymSL9Cd$O&TGmNb1IL` z+F1Sz*2PlW=UukX%21zI2w!kgzryR6)cG-OIF)*{k*yz*c5VChw*9L^?H3EDS;FZj zK2Gq-$LS|NPQcN`Przw$3A&U^PgTs>P(EtMsAh~GkbW?_*Lz9*ySbw9iE90VkJjKP zDbGhg%uvR>qua=<*Y<1GvND1Rh==aG&7QaH;{Pdn``BhBslF>|)HV zl(`m%w_6CV_mlF>`+;hGIVUEXq%$E!j|DStCt){SPxaKhXodw&w*zmNWBuUvT8mp2+#V&ZcG9OmdzrRXp|%;?zQVTs0Br-g?LJi-D(nxHpdUBnL(_7j%OVUNz| zie`^z?%JOttlv~*cP(>fsisOSo=TU!JmM@asyy##Ur&|(bo@9XXxhNL-6rqP$B$RG zhwAoQ8vxB+8E*eO<}sPP_*W6=-j1G*By+`h{4VrWuK1sYZF+r4(_GJG)^`lr8A1^st1&sb_u`n}j6xd(e< z5Pg{{mNQ1V+QZ)p+GS{`J^gjI|5$2Ix#Y8J&M@uwcxqb?fA6xqjihtc{Q3wxAs6{I zb5~!3lnJK^=9thNleuCQIB0Ho-@Lz4{`K>HdqVrsIStNHm$_+=$CANqQMK3!`_lP+vsm`2`j5|sZC2S3cm|n=cwXe zo{QZ>`zq$0NIp7V@zU`L(JTs$MY9t;Nkg-MC(MEUrc$n7-@(+1Agv+dc8%H_SEWZ1OrppM*~nbV_wiVpHv9j4wLecZN?7 z(bJcqZQc>Bxde1b4vxhV4c;|s@8*iaMR>dpJS0o+vAAfT#G`9ypmDLgJ<;)XmTv3^ z&`h$nn0>{mY)sr^_``asZv?;ncD%;KChwc1h1c%nHt%=zzZ<+`wEMc1uQjiCj{d~f zx!;cepj`)&%{IoA?q-e#*MNSKpU27fkQdD@M!9V9BJe(9*Ydu`uERf~Z?qq3KZiDE zow(UYD_mq{KK0|_`q1L2>ca=MiBoT<^g#Sd__nyTGoGy_uWl*&R(7gwGn7>&2FIM-pqX1ud~hs|evvFaGl|Yh?D5Ldn+xKZ4*zR6b3aaLpa5HP7*{XYgpdCmF(it87YcL+?vxE-8NM`0+;qI)ZN{y3o+^K5&#i5TAFF zj#gBQXZ0=Q#gd%`(WC{MG$l8A$ial_y-9t$LEnptcZPVRzTap29T znV&C%i;9avw8M_9lpI|f%#Hf*BrW-gx<0)mL+Zba{#5shpl+^u?Cqpar>%IeIR!k9 zFz2nTpVAxredK2NtvY3|bB-$inK$}yWjt5pcY#MQwBs}e<0Pxcup!MjMy@OmgZ`EG zUiu8i`2pjo-|yLefAw0wf7zX#^go0CB_pB@YsSdPnb0Vj=rrr^Qp(k*`j@=E0a$j5 zlUg{YGc)?TBt6SItsJ`0w^OvQM9*iQz*?`;;n;4)Ew)^FDjx{J5n!DwWn28qh3wNx zXCEC;qvNq7i}SI`TyR_(8Is>9atu5suK^G3Az5%>t)lnQ_k^Kbaq{*@D@Kz;oR^2a z&RO?J{}kVB_T6Fc0rW;Nr{|cH)=m;$!q4g^>MWgw-py#=SyV!h+4mO}D~NZqA4#`7 zX41Z%Q98=}M4!Us-QEFYOYO8)bY76Ih^BIp1rhBnDVslJ^4m;5tZDlE2x-v?zL+&} zo~6wcX!&Wa4c5AFWDej#n=`6Q*d+DynO`KAE4hg$O7rIocuU&`ynjMxs;{rA9kh51 zS_zMvX}gp9Q?L&>3!CvqU#&HCBXjqCSKnh?_3u!BE1wY%oqmQqslRVirgg3JQ$SbE zwH#P>u=x77V~k09@|s7-Kl&%mH?;eN@NjM@;`f4_H<Y(3$Wz%&t zoumInT65b8FWkgNY}Z9*esoYDVZMBAp%j7dKUAOK3-3zbXI*G-79O*))1IJ@t71d)eP=DwG=ja6W8eQy2XsvO?Yjjhw(Y@R7QZ|^AxXbVixdVko zr6Jk|dB|R5tHoC``T%t_?icO2=$=Z=L-M0>a~97JF!mnWY5w>Z4d3^XCwK+4{!pk- zcxrr$EryoJd?r`C*z&N|@C-i$V^4K+5!y$p;<>U$${RD1$LmX3a8SRqZTs7Rsmpww zi8A6wa1+n}V&--CiS^J69Odkjp?->Wl7dcUtOw>?eKYt;cWB(VQ69FB5_{ARnvkoWZeF!Pu*q^HVl2Cj7_~{e^D{JMl@%WIsyJ{Mp)# zvIQj{zD^jfm0d`gIVVadwMaLm(L2yd=P1@-vB4emJ`XOEk*V~*9vfHZx)so=+|3n( z{9@df7v@;JjUV)pE* zoOPrp)n61GFVwj}`iuVVCtr5cj};r5E|%VmVDFd1qsm71%hUD8kZp52vDRrnerLsj zt`5=%Cw&`4`GMW@By$;+u1ezbENDI5%{pTqE_2K0N@p>T7<;UP-NL!Xt0Ke+HH0&n z*KXQI%V$`9#@Q3uxl{PS(_q{~cHCijro3TvjbiOhU51w1y$>3FvE5rkpDJrX?@yxl z|G;^(`H8(=0ozgZj0wm5px4CSFI!5sRiZFBHXB$pFHdkjAYZmvBl!*F*8$H2)>(eP z#!2Rizc%OCl(7|c9)P%&4cG`;(*wwG6dWF)E;h|X6E=lx51kDXq%}v*2KA~xTRJj+ zH)~;Gk!L)d(fsr4D$*79T-#1Muyt=E?b~Y$i_-N8TYnSjV>Z29^=;c_s&CUvNk3!j z@N}p$Ve>ysy2a-I8+ZkBdROI1`ISyY|4fKRD!(&S_5sSIf20qiW!0?NZMD%iZmdR* zYq7T`M0d4&t>KY>R=W-wxpAGj7nbDnSpqK78}fUU(Z75@q6t2}%KfZ6$*k}DSyZ~( z(ll+k|^0iFI(<+ow}wJM9g>51waz)?8eO8cOm^vN@}|DbMU&c^C@+q&JM zx@*sJj_w7PtZCm3`dCuu9K9DfjPv(HIHNZicgQPeuhaP8aZ26&)V(9rpK$uu({X}# z7g(I$LEYpPXFKxIXm0D!hpo_2bpF<>{P=QU*7lhEIu&uwF9~yI8LM&eV(bOy9_?b&?|EJ}{6gw=nY_jNc`9e=J)Xvr-7yteP#=-xz?k~L z7xR`XXOH)9v{}b`&#>OrpZfZ^)$jNNY9on_Gj=AjwbVB@XOuZdpsRHI3I89qTn*=B z+2~FlJDR;oIyMfhwDh#_kiGk9cp&{EyHaw=URPPixmW9&eZ77r=`zyTDfPbm?e>1l z*vgOKhu8U|44(k!(~12*;;r5xdLb+&WC-)f3&IF#jXQu{U!;EYP_EQeI7w^Q>R!>f zs(>!bqsu6d1J?jI0yhB161id>c{9mtNTSOK(#Kn%o$h?fp>;!2yjUXp$N0uI?zA^M zM}vIiu#&x`*h?GZ2XNSTSwpJV2)(}vey-KqXEr)V8}S!D6v}%ZAJX~ceT6*P{^Emd zfAJ#l|JD*gR z9Y|#M-=`zw8NZP8e)&|Mjsi!ZUvuJK_P#+c?U!TM-D`Xj=bAnjmv$3Njyd~Q%5MGJ zInL1q%wzHskB;xAZVdZ}JCFLN_`TrxeuE?M4}&s%LzVKWOrvcL^Ai0o620tsAd%4A z7MI>e{~9};Jb(@A`!ka6z1*+k6GE0{&()>w980IRjVCg@@I9#AeO}C!{f2BMIR|9# z^^h~klh??;H#?0FB7=V-iC=>7W@JEfc#=6N*1EWZ^i1en2OchSsBrHy{Yo}}`3Jwg z*24>v_j;Ox?!xyW50XEvQ}HKQtMVhXfb&d?^G`$<;06n8pK1nnEsXtM|9RS+L0__q zHGk1MlFpT}H`TkY=D5h{5b?Jh8njSH^w1e=o=wkl@2G7s^jK(pKQ;0{)Hf9vJC5#g z-d|iteZ`{FCekyZ8Eb1ybU?1gWV30`|AGA{-jzkGOIK14Ir8e*^JNFij+VSQspW<8 z_{KtG@?J0L-r+UJ@9@;mY_q2LG$8lFBbsb4#K1%2H>7qM9FnO!tiQqM*BS6jGI9pK zyA=J-hR+G;+Q?c$=NMUOa*z+!K++xbc5oJJqFnN2SEU8S>Sqo6r()6K zZ`09XqJwyWP4O{kFyE%<$M2}U{WKaylDV>9kQbJ(4bUnqkN*O!{(OEeE>210B9X*q z;{%>b|L|?1IUdO8!Xo}AzrCF=HdAFXMSDkcxvsbdc!6+Yf8bng_6ONc&tS->{@o8s zAGBeTm`A~dNPLCinF|k=Qoky(yl_@wMWLwx%?g9w^)Hhb$b;}JqrUbb(P}?@m2Ou0 z=kVwYq$Owb*%u{i$Y3$)4t!?$@#A068Dkl-8^KCt}z{Vc|o{IzSWQ5sEaSx9q_IIuSxa~ z-LK7`g>9JD{rNrgIm6#iGVnb~eu{fs*MvsqDn2_|Lfm9QJtU8N|viG%iwdbE< zd5W)k;+dba=iBfCaqKDHgA)>jBq2pOKz=#2mHeJr>)Tmo4EDUQ)jAVPnG-cj&muQd zE1aXk<-h1V?hJc#@p}mVIk5I)*^U=rKg@%_%KvdFKT7`PpUD_g6LUUC5kS2iVNms@Oo1^C_$Y?2<|&H@ve+&c*kczl%L$X|bVj zSM9vWQ0;8WlaFmOcef4nqcwO|HeHxE3*UFHy@1UOdA50Lko~RD`Uc|%-sa6C4rrgC z5AlihGNFBHjhX-A(n8{?*y&T8CDY#st%AF=8r6puvQ5N8*2FHCG`gETa&ZY5zcjYE zJM2}WcPh{wG3L^OEP_I^jj_W8*y}7?Ki+(_a%~l^4Z^@3v;ey&eDBN%=b&Q z6+N0m^bjqi3qNbi!n9HuHgrj}+Co}q^DJ_Qp07_5(^oBYjZ?37J9)a#iKTPXbx$IE z=U}(smo#^GFCt4L(y_>slg*WeGJ|7Va_IUrdLEhAI9u6&Bb>3eBnE4@xU!QMmo8yG zqSqP7jpDBw`lU)2AP3U3(6m^Qa`Tq$2e}^u@TYih@>3@iQEQ%hNoa*fMYv?odG`jmg`3;nfm43O<`7-58 z$V=M%**30IY}4U>CSRuCXUIE5kbGYYewq(9@Py_loadphm8W$PJIT}j z-XuMiL_elZ`d?>aU(_KVHOWEaM?8*P>HKgNyw}~G&fVy=A4(UTCwZW~d=h5uy4bJC zg+tp%pqZOThSR*0Q69XOOiiJV?3c#Opyv`A2r=sGtbC2+%-!bQ7TM%&As88i-k<%g z-gM&o;63GS+Oz0OW0uj^&nRoMwt?!*Lzk$Y>U@qe$*KB7=S`?g`JW2%=WH_d)Q|dm zT=~pP_oBgg-!?uif4rMT3+A9czD(WkQua&w6&$<|n93Y4ZuO@TFtF&f?Q#x1d=Kbn+>c+q&$(pQ!57MFY=WO=g&KlP{yY;G{+U^bHeLrz5 zzuDWGV1ImUYoY3K)?Q+3p(1{d&d6gGamrlwKbNv3_gfd`O3At86}EY2<+m2%N#1tl zeV_8kW1GDj5As{K;%09?yqQP6-HcU%tuSAXp;JYu0w}!N_JWJ;%SZ!0t1?&v$T7rMdm3+JUeB{@{T3 zHJAGNpG1EI`4!XI`{N%NgHCT;XzoO|d)uK|f^nKeJLo0+va>dO7m+ugF$Wkk>7F+x z-J@}KLc{r#r;!uw>5aT|K3lSePGp?&2ZUo}V>$Mm*}rzeS98yCHE;2FPj{FgJ3x0i z6$#$@7I%1CAKS~Dv}?!a@gCT$A$+KjEbAzZ{|y-tAGH=lPxc^~ZaaVm*4?^vl{t7nG`j^;TN_*UkDd zJY*kn@#W0o@)Aj~%RNCMPj`09z(wj&J_5YVK%p7RD_czQ->mU0omaTIZ@VkNq z$m4h->m6~k-aO!Wzz2a30k;6R03QZ^2Dk~h3HT}C0`P3$*}%^MzW}T|?dLc-Jw-S| zc!p3Qq@ZGqu#RvWAxqF2kI6=sUWJzWjRvw&98Dn`=#;pXzZ&|Me$czWw=l0*dVu<= zl60dvLvdz3s5!FsV$OgUbvSPWYflW?{SH`XrB-m&SopE(gLj1YLKD?ff65!Tbt@d{ zzr}jnRR22l4-W*_#d)Laq6@(BIkhD}hFybCXkrrCe~BPEgoECdA?IfAC|N(XY_h^6 z^OD_6xn#}Q${Fe<(NDWA~MDl(Cc&y6|c%1zv zn)293`Stj2h~pdJAF;d9OA3~+BEHe^DZp=%-=B1r|rQG4Zfmk+LmNv50mA3|eKzm&MER=0m zUoLv3eR_#T%D+@JOTX99D-C}oGo0I4zN|0t0v@{by9?R{?4-eH2D&b{jYEA;;Z(hDi)tUd8{%8n3!l^C0H;w!|Q zKPJ9Je1KTKXymH&o?o)YQoKoW7(b1DoXHhGK%FVjP4Tut! zJ;BXv_C`~iz29OJ3BD1Y#9Y>&WIw6>dCAy1WGt50IHt3y^2=;~=gIsVWea9pe?NZL zEBt#ZY---3Zmx|vn~i;Y1ba&B@web`B)$iK$7b&t)_61JHFNfO32>TZt)%b+jj-Phu}?FWB-8sV?nEv8+7O9OKba1kwqi*PdcO4z7lJf|7{uKMFg3v(!E_BL5BcGPw zCz-SK(%pQlfQ^#(-xWMhAL76E>~rx?85@Jm@<;ft)?jlCLm;=XT{_;=topE_}~?sC<%o4z%2m)Tc%dll$iv!9Qq zxZB4TH+yvseF=Z{Zgey6gG^o)8rZy>g1jO0r_JjiFG5;0Mh6ug*ME!BhfOZ|b@F

AV-59?evbIU{~Q;@wo2Kzk-y*@WI}CZPKa&MokL8#to(yfS>> zNnm4RAXlU4%~r-5bvf5K*@E=2@QtcZ`cuCtTh8yr3Qd$J7xKnEe+NEx%Hw_=)h$nT z6|SPL+Ouv>;G{9iQ{9Ej)emzjPo)bj$lAQQ_uyCZ-)m?NvE=ds@3W4^k~bIh);E>} z*W_kTd!OD-j>`5)LZ>XhqviKFqIEO}4Tf^X3k_|tr!pt$eO8c{K1uI0$dhjjnP+dT zF6nnDq^rxg-*DKwB~#_;-1PEHZh9BE$PcEq8FkwVj(aCIv+rk{g5ON{6b^Sn%c&=S zn(Ly6$eU{NH+a0~95;J3W9fV}n%<^65}lh%4tQ_Hul^Eu*M?q0K3oKrY&cmTeik?~ zk}IXq!Fsc`n=_s8ZkV&z)7!B5?1y^0t$7ow^>%wIa#F#$R&S!4X)_g>nF?H4_&8@` z?Je8AGe~P6DCDu3lhDy!RVYi`RFIC-*-So<;0|B@h$;g6f_EAEW)!=n-O+D7{dJ_X zOu5mwzJI+88Ps~22Hg&Tzjtv_dxCr_^SJzI}3Va-cEmI@KA5M%jx$F z^0a1xejm5}euqAE*R8QDZQr7A4EpM~NRr6}dR^~!w3g80W9V^DXOsWr?o#sqJ^E1m zHDpz5Md@g&dQ5y(TDn8;A%28kdISCe<;kWG{Lnh5NbYskYoK54jZT_&R_FH9kH!}* zWuxfsMY>jZ-?HDNySEBI_VLx^`Fz^u%{hfn+dRIB=C75E*2*?-25^gXW)hmR2BlY( zAIohloJW~pC+pX}Ugr+k10%WOe=vsXO<@iZPLA&A3@nwl!65_3+6#z#h{NS+rRqO{K8{jOquYM4Z%Bv zdhzIH;>gtM5^w$B)%%Qn!@h-IelxuGZ57!U{pgvGvIg1bCnTrRalb?cl`bRw3~Ax6 z`v}gR_1Ys6><{9J_OJlg)8|qV!WW-HN#}C)3I3(;)2CqgU)ukvCyS$VpDaGI{>kD< z(|_na(%84>Jjq%_cY#|Z1utEAB3i_ub?T&!nix;slIf0Fs_pt99LcG;u+Nc6!R2~B6(jf&a%8S z`XEO=Wam+ANcMeGPkasPPNQzP-q)!oK5u!Mx>cvueZbcJFm=_RaG;Lpk1jd2-#yg5 zhI%c`CFyFPUtg%quj1{;dZW84puIB#+B2t}*v7A+?kKjH?%89yU(cV1-&eoyL>Itc z*@yEV%X($-8=EY`Hy9>V7IQb0q$}pn%IbF(McJeO#2o^10KZda&HCpt@I%+7@e`%- zZ5`y!>JYH}MykYuCiAW+SL7ZCKNRu} ze#Q<(nveYt-*NjB-==$kd-w^yY4>~X+X+u$#SXvZIQe6~uM8Wc)GQkziOz)2P8yx+ za-SHNPmB9Wc)mNo*FT$(A1g2y^2Ns{WZRsZNC)qWPk&deJtO?K_%r__J3qzVgC7LD zMZXt`f;T*r4PLJ~G_#&F*wYQ1H$+GJ&~I2O2i2B(((`wc*82Y~>Da$T9KGL^&q=f& zO%HpohBlg;>Pz=(PNU#m20tI+JY#IJ4D&&^;47({Z{Fr^=UwOdWAgV;(7)^?>Fy`c z*`w@7I%5cT_6c;*c5ia-qvO;6$DCu7HxazE_#?Q=x8x!lI&Y}&a^_|1diVA*-EAZ( z*KebA4;tgnO}~}eiH)VRn|wj4uYE0egDyGr_qlD}bIiGfoJ}=$v;RB2UjnPoeryrh z+VYvGPvMz)f$xvlx5wk)rg^;wdsMWgFMj8uaiuHn@vea1x=&G^t8JbCQXO#Hi61UP zzdQNQ?P$h;;pfxN>@W8(N%kd-eO0ZH&&ld-+B+M-_ePFDef&w z+)GPOKaFp1DfwEjoDt1${q#QUHKf(I&hx?DdLElc?VqBpY{=-Gjiu;i`kjX8xwur$ zZ+!xr@z>DSryX_yY02bL>gl)NlgO~f-i2R8a)VC|{Lr1vPn2}Gq5G9aYnyO4Zg?(v z%W$qY+^%j9xjf!PZl2{{u=Qp@k7M>6F)6}Bk^SMYSxF^ z>Dxh~_jQ+S7}I{0g$DQ=CnT%SO%5Yd=n7n=fP~akyBQ85|qM&UuLRBgCV``-%4x%l>)9t_{h8 z&Vstb()Xsc2M;_3?BP=_Ct1aZFW#6md(G9@9Z_ULy7~8f+rr34#y_wB1~_8nMddMmyDFc7 zQ}E9u!c%?&^{2Cj?nB$bIpQS8&eYoHy@%f3zxWrv4@)>i*iXp+neWpQA0$>f2s!eG z2?K--;YIN@glk2OV{}k}`wgtQeYTEdK4;U~zqPJ{dM%ay?=ernwp?p1jy?|Z-}xKA zo$@atAKiC~J}ra4VSLU9AHn)2n31bO&b(cezWzIzgf@~%Y+ECfl1JG!je$%eAG+%c zWb)ce){nglxtwcdvK(43LMHXTR=npeZv96|>%LCwInUZE2N!+sp^4vp%5HR$3&&jK z79XtnCIov_Wm93;8+M0SFWM`)(*%d+{IL1mRtse=`>brMWYV|C-28&En2#&**0GsM z))(c%{|0b#ktx}S!{!Z~-|x>R{CAPkH^H}5BI#Ul6TdMF*55_o@)UNb-WVL=-t;i( zgWSvNe)gbAW0R6TfX#To)XRF&4D)acrSW*yD-fIvfjb-NUFqwXJ2-X`IO%&HI(z%S z^;@Xl%v6dtTH_aER|p5)56Y)H72Sq@EstEfu?+rJrdh{YOVYW~OE(tC1@$Lgu2;YS{-yU$EAV?Xx?fce~Z4>nPXw$egi9CHJr7tU8Z% zpLBh@P49uF5A;0;t@S8%RqssdDPM3CJ}{Ljf2#5|r@83If?39U2q zAwd4zVQ*=Mw>ISCk9;@=o=zfb?zUtLIkIy(D~Kn-8y&r+)*0e^G3yUW=Zcbf=EojS z8`_!gh9Iw+cN2Y_q|Ipw;3LQX*6KV)0WWSy7)9vOr!K&YopguOQ z`Z|}gApK783(}3Op$W5Qu<7s-D!&|A#6RH8B46)%Mwo}*f5_(^s?E zvQgxlldUb9ek1DNWor+A6rHH~FNHsWJ?7hv+q_!g%Y3Cb-Ud!u@8;Z(yuw?4x9yi* zXlQ=D-eI6G{CBZQ_FH~W#CZ$fu_>&sVe#@2er_T%?D_!yuQcdGb178S8upxxj9{zi6jFsAStU|ikZX?;jf zCZM7C{yWAE_7Ulm!^jc-7vm2(!kW{5ae%z%7^48ZA9z3TXlmH_MC9w(X>59MhbDSM z+yZ{0hwz)mJ4oF(=}qzdZ{JvWg1pG=-2Ktnxv#s_*-d-l*KXx1fsE=tO1dnlpLKKh zC*9oFWs6M0!>{UhNv_7zd-q%sd%tq$T%9YS3unsD+uy=Q8*^nd8gtb;j}OEmPQw(w zrAa81N1U#y5%XS6@-DeIdn}KELH&Dx&pg!wXkLkUQ=IhITXF>Ac3@w_{1LmHtETQ|C zm4z1ag11Y|z4RI*)3W*L7x+P7v!C+DD>}1!jCa|!P0m0qeb*u%`rT=LWOg;b`_y-f z{JzB}zyIY0>D_s=gvWsKU+6uy=&JLh@#S5__bn377JutLTYM<~Z1Ee;vqjx?>5aJB z>K%BC`gQP?LGQ2*mYhAoZ>~<)!5S+lGo>6Ucz(eWq8?YCKy(4O{^x6iWH zWUt}eF=xuv%vpEEqPgBtNKZ*WVGYzrfn~FZ2bw3p-5I+LK1g1~(~r_uK+iaMC_hd9 zle&vXj|mUmWvmAelSVF+@;x#JXOH@X;r-~|5HTHHSl9mDwD5W+87;p4}7hx4G1lg^8Aa2j=eIp3)>6?2g7 z!?-kIq~2&1(LEPe}9E-FaCCI&g1J z-_?ARwN^B766e}~qw&`}-xe}?_nJK3D^ZX8MUyvd@@_Z0l&>e~_qVp+-Og}1XDTyZ+_w2-sBgX- zVfxt+>icZ+*uN&!SB)*dE7WF&&08C4Q%xSvSw89Az`KFvtCkOX7eYIceWA>+CR^-QgXUZw}t*`(J!p0NWIMjWbd# zG0Ssu&@;gsDd>7R^%UREdvw`g?btPX+ z?(L)Q%0j{!98c1}`V-A%w+vHH=L7bi`r!M2zP-8=UA)7L(G_YVA5)z1syGkUxIXTh zr|hdfY>S{jV~;Qo;UfRhyvK;ypOEXK&c5f+r~Gp{-ffsSz^sKx7JZOo?;Xk&KM4Kw zuHVV%j$Gd=r5&^#*WEK?%dXS+7RnNBys_BAzJtvNUV5kCzr(=pV_!l(DZc}~w2j~W z?(wF&8wynfopJTXIHxxl$l_AYSi(>HKWARfTj)h_lHDiSGG{Q(k?$ovjO`(sb(wtM z?<}8l@I9OP(A8hle1|CM`+;Q>>1`ysvQ+L$ALfd`L+>wT9_8{&B6pE^4pCh!Jy{k> zMA)`SQ&;0lJ|l_T^hjd8sRKQxH^%w4Njw)J9jV4gB;S|g>I}PxGpED1jo4G@L-H?M z)g_(edth^cV;N{l-?BAjBQ6A&Sdx1l^3c6}`;c``{$F{&Si!ogN~*6V=9?1Y_ZITi z_DSO}PL%4Khded_bfU7O-LTJ5`lSR^&I1 zTm7T`IJiUUVa+n;8R^^GaLjne(Rhw(+c54<$a?Vj#|b|>y*ZJBo+ z=FFqIx(gM)j>{W6c%-wU@kKa`uo>?}e{bha^u4v5i{jL))!kW4vIH)=yO-XWHy2rh z59&{N#FAZw3m9L1H79w8^w|l#RDPbpZHt$rouje>dywm|IpHq9+^bHsztjBowGqA8 zt>2NdhdO!o7U->eSi`S;uC##lEZr|#n0t5g9g5k+RrKBU81KB(vblD9(JXvm57Ay1 zNwF^)x^RZU_EB2+EztUaXWFa(42_#5^W@J%NAOJx^9J4QyX=)sq-|$o*a_@$XC-dWS0+~E z;oo2h-Cgjw4xA&-jl~GQ49;@J?;k(@w4obs@TR%h z!dciFqJf(jG{0-LZ##|eS-&5hnS$N~`S#4+ToPG8&z2V?3yaLRhh=Ao-{@T|=xW(z z_;&e*7I@)v=es_B`b^TkA60Mu(Xae|0YAye6He}{&CbBXE$sL5yJ|ks#0tMGpZjW4 ze&AvA8&KrRMV?OCZ}Nkl-YDWjHgXpHzV&0gS&-aKN0#F7c>#Uv_s978&F_!zMPCfO zBH}zisKCxo5atp33Hu4-wfJ1%h0&|i!3jFe%*lR5-s39@mAvs&sS3ReVH^`iM)V6F!$;c=)N>X2Q=2oCsS=d-upXkb!<-2qA`5B*1@`Lfa@7m!C|`KI+`NRZ=B9b6 zuV8LroPWZ4Zb@@?EFi-pvZFT}`I!d4k{QmfiJS8C(gR*ux;sBVgHI(r@Ll2HW}!#o zcJsDaztgHnwB^e(9r}*rX^N5XSsJc__E6Rra<|P&{t;($wyNhn!}@j2h9$$ zmS1o1`?A(x>LhPeb{l#B7asWiv=y|+>K*p!GN$pxH{qbVP5EIX1Hwge=OA}c-rI@i zl2h&qU$&pHtOhb9ndSGm6Xsis2K8c*`hG?yz) zOLHa}LI<+m^{q|fN`3E5`@+SnORZm>kz_M!&yd}?m-iCVeY>$`l6mYIcq$vF#lZ%2 zZ!eTFADw|BmFJY4k@ba{?x6Q|`j3>IQ~I1@@<)kfpU2|z``zQ+gY7GwE~L)3#i;m)f5}x%v*uTPfGsK)wOd zMEm7-XySi|X>sXau}k7<-*(_V4d1_m*U&;bzRX>2_&Y70X8&5jnRrz}xPIe&-a}OL z4z%pa;@A3~Eb4uXY~Bbwke#VHOaX_a-hn3B=hA~-obb_--hpoO)-l(9>Z{!;b*VGu zIlsTiwg^!~&*XC#l)ley{{ytl7T^E<+!Ts7;g zUUGje^~9UN$Fq*N2dYn5Euoy>iO#sf){&h3ukG(l`a6sM&ZfUO{k_fBDMuDmPjV<* z7e7WRUctPP`J;LV#+a^ij`{6boS4Hq@1V~qwIYwgSM7o~xIw#TX_vC|QMumIhWq`Q z?HAv&nX~FlJFU(kTSwpGvuB3VT>aiNlPjj6^8&&Wf_z1zxgDH;xF5!Lji6Kb4w^R; zU9kiml0tthKzBGJ&>Y%-obSzyQZI(=i;wzNg87}mQ1BM$9_#>gQ=YXz|34a&jlj34 zvaEOD2y1e#{cYM1Z7Q8zd=KHS6W>EC%acz_{;YI?Z?hzBF6cV}@@?tdrFYF$1oPWO;=7Tt7Uq#;{tM#9RxG9* z?V;KiHx!mAPr48|=3X)O?bkkupGp6Y7jMMh#QP}VM+mLx0ms>;-+r5Oqj(`dR$1Ps zK?QTvxmM%e3N2Kw`hO|f-&>G=fwX8kpS}z|q3b;6AeonKuiumgvd;Go$E15?=T{Zz zmpWghU$xIAh08tOb!M%d09f}{I%8|El-_OPd?dSI6#6$OZbv7;ck;!z zD)jMn%t`x$&T&6tt(bEdwCZ9WUpPZ+)*p8}_4GSx-A#Ut{8h;NLvi{0*e8+Kt@s}} z_aVF7D`sOM<-`2L+8*zmhfd~XZiRi(<#;tomig?y8E3wTO@L^%{Ka4O6_yUvEjmz=^@ zP4gWoebFYH4R`e|eY&P`I;_A2B zI-Kv!zr!M*^e)~B$v&2yBj2rfVr1U-RSq4sfB%3!c@JmanepCk;+a_yCwpGRng4$X z8S12My%e#2*AvLg>(Phu%}4soU1xpV<+l^SI=kR^z{fO<3~clM4Ba)qA8`)3(C}aT z(y(^{YbT(A&PH==nS7V8pe%TUqP8!x-zy#v^%p8>CO`qZ2v#5c<~C%&cloxj?;jQ8qX=|+3E!<_2z-J5xo#o;ye%-v*i zn|C?1lugB4O8jozyq{i*kIJ_phP`d@#Q0-R@vB~WieFVUSi-*#ARIVnREkdW)9T!M z25{h`)jgr`{(|mNsH-wFPwsZ!{=ClvtuMWC6@T>BWi8|Dt}UQP23`pNd+EdAoo22t zhnwaUUpxjrX3Q(~1`D57M&GmphYVw$$C#tgK;wJ_IqK9~8_M*Z#7_S0iOXyoXje}= z^Nl3KM9=4PrB5*izf~Gz->cVKcmLjM7+>Syn2Qc?!#5nzCeU}t!wI{U zG(LX5A%A#qOy6hGztn{`O^8UGkcL?l* zg7te4$w&p`wUBNH2idYQ)}($bQBM92c&EI;&M*Gbf6v5k`6pJg-=QqD|3z*>7YGM_ zSIkz^)JA67RJ9;`Ver}bk4<$n??uf z8^qd2bO*;9kTK&=$lY1UFjjxoG4IQUE{r(O5uUk#J7C?VrWcM4rH9JfSw9sS{ESI{ z7s;F>$hYVud5dLpk!IG*nK{nFjI|HDMslsQq9@sbHu^R#y2bdPqyruLcN-atFhIDC zkR~9DCDBV`L>XgB*8i@e?x{7_e-o>m_XEYhT3yL{;Qp<`zkf^e?=V9n{nkLguaW*J zV{NEEwNsyGf@?*_*BilHVrj-C|KEh0=pO15+o`_Yu5-<=DgLaPA97aeWPYRE-Ar&YDU>8~O7kv%g|($cHtAp5yzM z@*&huV=t2Ks$xxOZn~SqpE*&Ll<#**iMr)a0PFVzPa#kEtN5O;@B8PD%j{=<;NMlMv3_yssI6`KMk)U$qG*6ll`j4;?=~fmTEk{tk|!Oi zGTC3djQ+gd+?|#u{4)8Oe7oTu&-8^p|6W1ACuIJVIp`#PKTP^*3;U(^b-jsLR|wke z_S__AILc>2cb&8J_S?r9eF3hLyB`>yXfN^MZwhv|v9|GVnRUH^w-&)#+->ShHuP@% zv;6KXuKg;RE1LHguJjP!s$@>$&HuyN+rU>PHpSIiF5g|^1POhtNz%9Whb_=et`*n~Wl4pckIwx0f=ZSCWk#QPy0?N@Y= zADzGn{Ru_CbOv0Do0!{i#z>O25cC__uC_ZA{21y?$YLt96|Q@VrXr`jjHk1Etx9ky|kZ& z?|S*%>`vyrT|5u*48qUM3E0=N#?brNyg@$Ov=w^>cF{J2w3o(!L41JJM(Xo6_3NZ8 z-F=|;mfwro>;mZ0MYz5%<6U>2>Roe5ttsd|&imHjeLU~diNqs^sEjS)TZM|^QwV6&+HA;8gvBu#Lyv)e1VSQ@!g~mUDnXP)%Skg2&`R!oI?lv z0%sIGNE?~C!2q^A!T2fpH3n+Fbv^0DAJX|ahs4O+J7{Cw-J@%$pPeH>|QZ27vkXRbNZbS^SzARamQsi@AG(itpan%ShG zeV&t#%vSOm-x=t$8JMcmb?8vS7d>QXqNR4oR30O4qS^%BQM<%|p+2PVFpb6-;hTac zamK8FCXeLoWWIL;D{Qxqvo5D{X4AK%4~6Y>1Npb`Ef}YeN8{du0Zvm$`vv0E9-Y|v z&Z8e`EOIyx_zbATR)A3;~{q`z2JzvmRTc&8+9FL&Z|CwycVN{~nIHs=oM z_f@_-fv@!tji0Lj^x)g4<7pAmv6rxrUSA8+;Y;R+oh!M&-n)hG>GW;sQ|A8aEP4$x z%Z#z$T$4tpgnkR`0k6h|hBouWpHYXbTUx+5lKTEN-yNJaY2_v7jem9`l9Y^NZaaDX zYZkUTokQs`RuL9YOul2pWAta+y$e>FtG?E>;0gaM&0Azov3SyM#mTsp@yH_C1vUO= ztp3+0Pn8vMV$29?V=B9gp1~nwb%zkT!c-(8&D7y7nLHs##Q-d-QxjX%7->`({VxngIb zDR`!2uSTx^M)U)BmDx=Ct4LcN&w+ezut#}F@jgB1Yrs-np@DB72M)?4=dXZsQ0B4m zKdmZ9bu+X|`)dxGJ86Ehk2KZwz_+Ez=s(OGrE6$@Y8Czcl@D-+^;pIaI~6U9PFs=r zwMp3;CU_c$H6Ie~PGL`)>SOGWDv$7QP>%NTC6T?-N#Ku)_P$vT^dYVINwO$PI50|K zxM;0CaL*B^Jjcd068Ds34ROcphk}pcNBk}%iv-vBZUp5ij=Fe{5ZBt`@A(&OaDiw1 z@|AZt@!CJCygEN*9C`4&WbI!66XTf#fHQs)gp8l}gkj6VnK zI4Sm(rCZC~ZSlI+FS<#meR?@)BRsX2^AqUa8(4=<&~d`;n)-@0p7cqj?@bDZ@<`{Gt-!%g*eSS`ned7D!jgP0&{v^D z3>t*?Y0==UfCl0ZrB`01SAL~cKBbHCpu?DZr^H9pm>M(a8ef2pOzd7KK6$q`SDYT3Hm}Enp zkfDvRktkd`#?+uKC98i%9_eb;_v6W?turtVA(JEAk)m|}arp2D1P2{{D8g96{NV%E zDl; z|ISnDC%LOQm9723P<<=e^WCLlPqvsI%j2A2XghbC27YQNeg+Ieqwxkd`{cNY`oh%i{Y8PiQYLw5-$?d>&j&nV~GZ zhrLgtH+404YKra=aGj9GHZ^_|e9X961g?dRg#6;eKgWac`w_ka&V0(#dYF|dN|$NU zI;iZp++Q}v%G^VLJ95XFcu;Msb$zv?^pj@t8y*b$i`l!HW?v@#e}ukrM3-c5C%UBean=A+ zy5!B|(fbPaVd{4-ZAIU%?56(8|14#6pbx74hOaa9;k4w1Ytx>!@uc26BrhJdImb|Z zh`;v3mh*ylI2AFn>O64Cqodo%sN0Hel(t4@byT8Z9@g(^^U??PT~M1XER8BgipbK3 z>ryYgX0wm|qfWG3K+niSt5KCTrD+DnLhavw^fu%L0Pl;8yrweVvM#V|uhHFb7es3O z-`k>}()V5PwZC?5eX4@LTjE0>o?VWN#1B5@o<^O|ttTJtf13D@vYQS-rvt_|c1;PH zR1dxh3;&iUp@|JF?K@RHCW3b?wT^KYzkb$iR8R3beMS4*hKkUk0L%#Cr%?azkna#- zMZ%(lu_h6Hl(4r6J46`m9UVc~*9m)vu(t`L4@aYf4HEW8!rmba-%#1GDt6JWFsB-_ zibXS*!+uwJnr~!8MYu8-sW6utvQjUYzEzFmAF-t!4(w?fJB{y97Ttn2w&5#{p^M;+ zo+3KY_tZA%IPlH!SZL9;E*%Yv1IX zM7|U_P9u&Qp^VBV~jXNIt zy<3P&^E;8>P6t1%Bz}9~A^(^R>t@r@b+x~w%fg;mBt3DfNt4fU-ipInd};P&q`;N7 zxnqF+6K=mZkni{I$>`)J<-`kho z<~^IEKI9o7-Ae=1llTq9sn8nNSbW2zr2s|mk2+Q?f0JMeNV36D-85|&!?H!LF*0nHlr`e zAM4*(myn(4lgMB;^|s&j2T|Xt^&?Fk8(k_zx)GmRoZNj z){M*4L333H9?!tzdr7l8h*w(itKv<+0ahL9V?5s?-Hn02R*0wSzb(LZS8CfB2cNjk z$^B!VkldBN;p_|S$-d1-d!ZSlqjBmRbq>8|CwtK?e4CAp%#(dM9B03snifI+509}w z9lqlemfC*!#hS_kqs-bOQ%1j*#rAi)+~{!Qy2?}&&;6> zpLzuy9(_orz}E@p zeKs_zaoo~j@oJIvz9jktH2FB`JJAQ~3okRr(3rl-TL2y41!K$VAYSEN1TW_(qpxtk zx1o5yfA3S7^R3g`C(1XvjC^9oR?({wdYuowiqKKG^#Duy#oN#)^%K7y;^8EEb1gWi z9PJ}j*mly1hK|C4p>WYsFn! zlY~~%aWsy~N6_rqPg5Rat@?CbQSuHu32{ljWow(r_XNI?Av)*wPww4*nDV5{XdW8a zNSObl&sfsU%o#++?k*ci5}Ix%{U7Le#%~)vPPSm9-!M~r-UOVCHoV6k8<@8;SbPL)Zw^XDn+wd#?R?u4pFx zLj0j}cjNyto_2evp7JxgH|ZYl%fNYP?vXXY82QorOTPhc&9OyOc#Qquz6}ETYUozy zU<)W9OR)z;(xS0%4^Vl~7Jf$efS!_}H&8}sUl2btU-4~R*tDYHVP(-r8FOwzza>8~ zWrt*6E%Vul*oW(i+H>&d?F`lSt!B!MQxDNLXbbt;V_(=bW;x|(%v1Y82i(%kUCqYM z5Zbz;(D+nfhz7!0YqqMZ?hu+# z_Y`*hC-BjlU)rAR^N{fm3(g+32Qp9Vq?)TZ$=;}JqHhJ?;^lB{QQ?f&+);OVi8cF& zYsPPWP3xt~mn0uBn*^KjWyn6iw-QaV#=*CI+6IHZ@k{tVv{f)}Hn^P6!uT>&WKX>O z%kU{SV}+fg-g$T^=~l9|!wJ+c3N7}y_+~&G>8e`iRNKgJP4<;LpwR|Lduq_DcsFgs zyJ@Q&?U$$hvd|rP^05_9TLb;xXQ7+ERaODmvVj!YBQM#e{-H5e>rA$zGs2jUDIe`? zba;M$2)(ryA^EO$9wd+Cy7*6hSMB$R-~{}r{vOKe6RDRy&aJc?95R&?siXQZ<4}|0 z29x-81aaz5v~xZ7l_uFwiMc ztV|-O|BCp<#Q&5y$^B)>{0%&+hdBp;daHk^9^y^$xcGApbPD>Jv7w8{;*NY&=A(z~ zco05)m|tkm-nYpEui4HTreN(Q?tP1BeyneZ_*VJALABAjSNC|ggOhkq@&En%!*9Tk#`nPVWoRn7Iq#2$-w@v@Pf2wk{A$8X zf6CG?DbvEHtbK^Q8`*JkKtGL3@==HpueP+&4QUf&Yfef2N$!YFltUZa=Lh)f{GI~= zzUL4Y`t+W~`&p)J|12Z;OE#a%uU=;EW2+2v501Y^oP;kZGX{;3eF^og@P1^i5l<|r z%@-Q{#h+2qRmV#oL>FujowYapX{#?z8F34~)L5muTJVkDC07JXX&McF)C>F3Oncp! znJw$$k~Opb;Oh&biEK*Z7p==e6N6_2x+3>#qiMtcL!s^POv;x{1vv$s{qnR{Fp>5% zZ2;aXe*!XTBKBJ<#FF7uJNMj2}@!Ij=i-y>@ zn!wTA(E?9sJTkIhd!%D&=J?o{V@1kAPK#!d9Bt;(zXu4*qqC@!aB*$WTo!&BwKaX zxAvT?kLf-PyZ9N#`qR80z_;kIp7OBvfxL8|7?pRQkRJ0B@*~gv^J1u@WTkX{Q@_dR z4b)k7*RXyu7v9Lbx=%78zEItebMUucH~GZ8Tis~%gjJB-z8qdXQn#4uiVT(>6xK0L z+k|ywuF|BncFiN?V|<_L!`Ptsgy@)eKM&nMulnpKE&7pPA8_xXt<)~2U&B`x{WF?{ zKU~41k3(OLA$#(hb&kMuX0ID*@OO~UVw3i)866#-Ifd_>_|?R7Ul{q6|8&Ab+{~Rd z>`OQI(lCdU?M36v0P>(3wsgnlH2V#aL)u3+BHhP)Z!2YSCK>OVE3YL_b@|w2Ih)Sk zqo}kxyDU$-TS<33yyzPV?PpY4tFixJH|exSE8CauPV7|u16o7|;oUs-8K8aAyl33X zGSi>g2TT2`?{S1@S<}@y9`|}9S<}$|mI;o3XAcV7{^lY&Vwydm*oJgB&jS3G<%6KR zA7Tz=6pdY=df;SAyJsOtEnFzcb z@a|xo6pta>_BVrLI<>_cN&6?vJU+m;fPO<;AB(p+qo4A^a%7JS@l_coQAQVKbc+Ak zKPz1G;*9~$E~GspbR4y*S!>I=rRm_3cG(+lVecA5Kg_akE)QKC_I(W?Pttz->YmQ< z-pRiS+L*EUDjOP@b4)CBVemQu8ie1|d z*19Hq6iafy^Gh0^w|KYULlOgK9GFhD|KKR{#yOh+pB6;Q)!HY;eJnmLN0=~phWuuq z%$&y$e(5F6zqWWyz>{xph^O{;HzE^+yKrp)ZXb9i3&5g0w_a} zcF@!Kio&BxJCe9+c!!D4tKJG1Owv@tamMx^{5R5|M-Jm8+Ubm}5T{=fzdC@Syap%I z7+S>p4}On$`O9g|JCborI;Yi!-r84vOA#BVgFQ351A8X+ONV-#;8vzv@VdkKx9N4< z1H6tiTh@7f20xu)wXgy|Hz0GP;<+egC8_Tya0>4#E-;6y?k^$ltppdJZtH-v)ztNO zFZ$=OYW+Kdu5abxS#TU@AunBcYJj??Ib%s}T~s?jGX~UHD!;#+(OFo~Y=C*64J?fV=5APc z4_s^Gd0&4C&w|o8B>h@G4$?hqGa9?_r9##}EZpt8^B)ZGIkghx=vm9*bj3%>}`kAU{dqcQO>!ACko zoG|UX(z&A{OmvJ&2(urUrk=nnir*MN;bogO`2_fLh8brn2Dm7n)?x)qGzwv?1_t9h z`>UZ>fa}eIOPUu6R~sLoedUMJB|Wvk9<9=VHyGpY>jL8z;Scd{4dN@vdlK8?cD}LY zuuh{ky5Ae%yP5Aa-*tTV@!iU|WR9^#q673y!3Tyg=>uK7YpqCUd3EyMLAcgq7V=)l zIJk`WH1%O!%j@DjiCkzUPJK4%kcaTR)nCpM&e=TW1&&+Ek*2o-X>3bMPZt!9k!zAJicE^-qD`y5Ae<03>_YSMSuTI z&aIqI-Vqk~3|v=);fCzo?d99?VSS~*-e}IHJP^RUUOGUMu|EsEq}Bv|T0Nq2;P(STUp4xB7TXy7s_>OTe#r}Ts;1J9 z=-bdm^taMKI?@K5X{$CEqx8rr)}vmN9&b5MAv;;)s)Ex+I_>$z-v-*UCgAj!zeW56 z#y;&gi&|HdrpZ@3?N$zFMwDqM`64jy?1-{%MLYMEHGanoZT#~OJ{rK6OjldzJWbWt z9{e==4!S{7NOzA(mMU+WyeE-&Bzd#M?Z%$<2+tm#0?)HN z`*>dADe@fTImGiek8EJ6S8Qu4&q5x_!oG`kddsy=Q`B6OG6pq%Ja+IT>&raH{%$Ai zGY7S1nzPl`=I*|xR@TGC+xlJxUyP+qW(D67+G`^HX)N@qQ&^hu1l-3GHjjEJ53+{& zsBaG&MVLF#&;2%x9oP;N4tJZs;HSHgG;Zo=-f8q{d@T}6^Efa+0$SMBsZPxge<2@~BXlds0g7wuHWL#~k~9k`X&3bRUfg-zLw?`X>Bt;y=#&^FM`m z;mcQe4m|Jk>mlA72^X)*PF-g)rXf>ifJ2@7Q;u`^L55v@8_xGN%A!E zXf800$I4^(7=SKWqjKIa|GYFbbiohZFPgfOJ+?2t zCVqgnHs#a48LkOGoOqi4Zjny7pv&C1hi|>tr8aM-{JZN?>o(Jl_pwjQ;9DDn*AlL_ z-4LY5mV5fR53U^#Jx-TSBzi?@Lya3T2RzUX3eZuqQu&Zo>`lD7Qaf;G@f-L?LI?Vc z-v@vdk8uWT)NyU-LiOk26TU7EO=*+S2f+n<(b|f`{${gxfjQ}?UpUOw6_)iT&ud5g z-AdBC)RtYcsnUK{R zmR5UpRN~->UOzOJIid3I1TTe4&q$&N#3)O&k*wZIT$pZikPaJjlYu#2^EvH#B7JI& zr*j;nt5@%>oM6$v7jO2yN&UL)_27Yi?+_O3pSPS|&#YmP_i6H)^LTUU&*Zby%s=yP z=^@cZIAz&Go`OfRYhQ)7_<`w;o(}(8IAqs)Hg!0|;EBv&zqjfa%dHzzn@6sYUUOfw zE|P{{O+Dq0OB(o^K2n08psD&aawW~&DJi{Zt~oPWYun7j-l#=SsY8c}ntc9R1N#ik zouZbt&SP$hZtBlrW2BX=5MG-1B3tl_@pUVIKY~B+ttCwJUmv$jS@_uC2S2fnFubpo zIqCccm8v}Yom-%G!-pk25IqVuEC;rzYKs&m%29Y}u1)9_8urh;MC!I>|I zZT}a9{mn`7qTtYm;+Z6TR|kHqFJLbd3_nbM2db~aVh(hK*A%CDJ9!R< z@tRj^zcqA)X7jio>7F>x7%PjJ+m~?{axTu)nrL;}C5`zA?OyQTh&hjaehs z`hm`RkS~P#T$x|(yX|0Kw9c1Ny`e=kGrl+8!K1ZlV3i7~fp}(eFLjt#0*`nH?*;Hw z9r+&f81)*)&sjT0^n8qcyak>Gz}1+xAlS?6^Mv>kUJv*JzzMD2nRbLPO?yL|N5L;_ z`*5AOgLMzx6)O9Qc--9UWi=QcT8La$-6I9J{xL`I^VIL*p@n9zvUr|#b;CdZleIJ| zZ}?TTic^MULo5aEl#AR*oC40_I*8^iTEEJ{Q}RKO&ynOwEOmw#f%lb1IvQi#8?m%> z@D5`a5>HEiUl_D6cB!V2ztpEx=0)TQ*Jjb<-%vdDGWB?`vVN^`CMb(}H`SSszQMXS z@7pQQuhWt8L@SkdMpbzs&kO|Rst>6R7id3Vn)-=nsGr)B_OqF{B$mnUVX@xDe7ft0 z^lR##A#4P4sFQMIlh?d<55F2$)n0tRuV9&PhO~_F;r8X2FOM=Ri*)K2fxH+}dZjh> z5-jjw&f65y5&ozT)A&5#%O>F-@*Vi=EzSzJvQ#e>gKm{;+FFM288p29}8m4Tf(26mX{c(4ge2$r6Z&Z66 znDM*AZ!BO>^fLAeiT5K8yaHcd@dLl!UxsHw88DOkU_u#?L=TZqh3WJ3$@7sji68oY z6?Oa3&uQD~nZV~Q3oYT#c+MXq8t8AenRV#F)?Iz|_r4}Rf0FV<1M#8SN9Px*tV7UT zHb{*%>80#j!k(Tj-0kmkLzdWiU<7AY3a$suiYlX0#4e z;{YFB@rU16z5Fv}ls|-Nlh5pt!zS<2XC`K3f7s+rDD;=Lo+f={TpB+=hj4txWy|N+ z(|Xs9L75XMQ)eKl+z$5TEufAyx(yI-g~3u<_tX&f=5~B7W2y_k7}P@D|^T2i51hbnc}&v(rDn zs@BpR>5hHKvVBo2Ul0!Cs8208>8xgb(_e$XE7O`Ewju|cEq{J!4|1P*ihDou^j-X= zmtpgfFWW$RoA;&v_Gu;iu4GtkR`TrfN?dh=FLVyG(XRqMU9zoJyvZ7)Ipc`2MZSN@ zB)F(v(2=$MO09Euyf(Eh&OFG%Pb-e?Es?S~i`CiTeSv;BiSioBljB?AI|!4#+1U4i z!MclqQ70G!Ypv@18`X~o(ErIdE`ZUmFjwN7JH>L=sfsZxBq4Ao_y2))XqGUpq)eA z8Y%Oz`Z#GdPAE+0+$-%e@X($G^NmlcXz|wyD~5Er-`l8f!hPH04)4p)`*K%s-UjYJ zQE#KuX3&dMnrG4PfW3>h{4{YY6W_Q}g8F}!^x7-oSoj~ovw-oN%^o>(I^Q`c;r(%F`$WPu?INvDb)C` zHrmHJmXSyB%UJZ(DEP$aL-He3I_`L4ZsDih8>F?7A;^(vb-EL)(tYb)(y8w!86(CO zIqM>Mz*{JNDED*rzpy_keZc5|)6={sf9`1ylGbEKI0w9$md-lmopBeP*v=1P^Rs^L z^*NkXTR4Dh{W<)>x%_F_g%{E%I&7W8!Cbkrl-~^LkhhE{mzO%Q??h8wMb3Qnrjvdq z?b2ERUT#UzPIeY8tE-sKv4#XrQ@|mWg{OGrvpIn$L;Fmyc6bl#9?2qbXB_CorY?Op+3>FR}(f8{H@`?%ZGC# z${j`0(mt+pKeW-m`fkbBOAf@9rzQw6TH=K)@`*G+{jpc&*IaH1LvMvtmPa3 z$D%dxn8R^vF1?9Fgpb@vbpay!#4alI69T`%HTA zrNVzicwHJl<{WY)2veTt373qs@`SS%8&A!YFRtcycgfdPV?bl-zPOd!6c2qe^&QJ^ zig$t2IPylV1*Isya>CChzf*u`Sx1ZH+|Ns|yLQu#6R7V5;xyh#pB#~5 z&RRhJ*Y_X%E;c%i)xrZlNla3@BH!tarZ2>b8;w3ViaKys_RFl}?3IoP&XqdwQvD*p zl;5n%h*2-jZg@GC?%ylF0jt27nBQYeB2Il)xCyWM;H5UJV+>NCRR2fcXlf4nqj2!+ za{q8$n%|`^5pZGMZRmJ;&L$6f4RgsWOQHid(T5x5ub$={N%#%l!iUA5k-+8OTZ0PkAtB`#y4)umhzSO zQb2>dl?J?zZSUVuhU%FluVBR3dn6b&?3WVVfYzg>AvbQBV*{$a+i7`>aBtJD@%0joVg1`Eh!4oLQz|Xn$lk)vzP%;uR zYnvBMx|Od7WlNX-8-=IfA8?nC4!Q?Ajr@KXzwq~>j`1krsiOKgXGatXD>4pK=12?v zKnIe{{RXj*>Uxeev=a!1ET>pD_WsoQTt|v~2^EJJxU);BUI1;rqOw z$ou#BT^*#A4YZCh@l1sGB=7K4gY0yog~}5xRF2A1SwDr|`KtU0^1yc{KQfYaoA=KD zujKEm%0H4k@T$pw67LOvCjY;XUvn-7jwZ=%^v24Kyl)3z@!-d(hZ(OJPvX3XysG+b zhkxu(G?X9UUA_n!ha^K1>9y!88=1qhrotTl1n4PQ>iZ$z@BIVcd&Kkbk8BFh{40CX zz*Tgc2Q2lq48PJtP6R*Oax0^dB{u2nCo&G<4--vAD1*6Ea>p2Klug^K!cxwTF%xu7 z5Ay$xs_e3)tbTt|5PrPAa58CF>pLpnBEl9BCOur`tA3IRBf(L6M_6u%%kAh_KYq)LZpU!%KnzUSrYoW8|5rZ_=4^X#cUfHQxE)A8UV!wo8^ovT9}YAfoK~KQjls&RzxXz@+5xw88GR(B@q&IZ zop;&qwC17jR`nz5OFtcYETj3*eB&n~9W$+dgUvx}QsMq7*~MJzUhh`yZE@z8zverZ z)|uaKX(M%NB%k;qmW1ZPc#&fLb~){1?2axru@>pdTf8jxTHX6q<8n9iv-leGjqges zywD}@-OJrvd$aW$_uBb83hayDFZ>edDv1I2E5h?-@RBbjlWXqyzz5&i;?)e?$vWkl z;!M6H=r$usKXSnRyzisbUTLP>*5nrYf@^C{R%h^7@HJ&HPlImxwa`HK$|8FMolv-L z0KZ!13^nuj zr-r?C^2Lv!i*YaNUghbUeD~fgb&jRiJc%sfyeM><9A}ub{-$`%xj#n!SSDMPtwdoD zE?QUo4qx(h?voU+0SChL0pC|fS_VO}%WJ9FDypi;cj?b6Mr#m!EUm)FL@~gj$ z3+9@=&mJDi5T^TDYtl#RJBfNq$J>)gCnoi(D*Wqls3 zfd(~$`2WyGQ{llBJS)DF{!=sf;+WXLi(}&17so({N`W-e3zNXxqyC3IP%_~+;G(jU z8SJ)2-4{)Hu3JjeZ+3HMk?d}g6YB4^8R}j1`**$-KMlQafq0Pl^%ho6h`E_*V3A)Y)6K6=wI z{GV09j{Djyu_nS&JvF;*}PE(*4oYMSj|} zYt94n>EzoZMW?VXj|vxHXils=T6<%S{5jRF8YVs_&k05ZTI$~KWZ_=U)<*yOrm`Z4$kL{4rOp4ZZ7c9mpzT)duUJjVPugHgWrYE z!O52g9i=pN5^snvbY~xO0lC^+R=x*GD;O~=TNI3D`eYaJ?n(Kg&i@zx>%N~FwPA|6 zBWX?lL$*tP%CBAhsgMHy;x%Qv=r#y$xc}gDz~4~6)tt>zSA++%tgEEOPwexdJnffg z1TWPwmSoIhokR838b>k%{46{PomGF;Te435tq5GRS1ajOCIx-3|GS5WR2PMJf?qTA zLFNvbc{}Sz;yuWIS~CvhIUkF@l}ZwhT-3QW zq9O7QIfY$=^%l`uc8z(0ZDepqX(lw^gRDd@nYPCFsEl7Oc{!7@z&J}=H4|T#^6zMr z{Cx@lwN5ZzLqpL|bllFk z+*sreuDRQwi*K*cc@Y`|ng`%BbglQ(2kICf6!s13s`E2s7h(J|J{4L=klfMurTz7h z6B>-qzRqOV{6KNPByJwu?zIkwo;vX@J@kRKJgumry zHYFG@WW%(eqi82uO4faa_&wGZ?@O|=Gd62|MzG|wD_AyVsSNZwQ=Z`d7C9=~89PZ@ za}ce0`SSu}H%s?>$ZF;w>~&)P^&)ggCp90LTX|mZxx467TkzlCYWhQ(^3)&H7KWCL zz2;7_r0%?1Q#O09_)V@c^>B$k;^vE$TM`{S;lRG94b(e!{V&Q=IsZF}zd*9Lam}ipIQ`{*yTyX^`h; zo;F~uFN>~ft7lmwmc2&pa7oY(R@&d&s#=l>Ibs>sV$JJ2X~;uSM!G2MeU_F8s-hssV!!U zFPtNMA>A0&&ZG}0&H*;| zlQ?D6@GgJD3*LqoSeLR2*k{29JWBFE)f!cdbrJGlN8n5y#zt^%(p)}5et7AwaJ@?B z*o+R#25yq`TuEbN3p21~n-hGEDV9YaU|jImz_i{xHwvt#YFLMV>(dH9zcI`$hU8;m zWvP?KupBx=n)wJi&OlN!o3n(4S7yG^ms$J0O0eWl;i{j|M>g$c4x97OFi8oP=p$HZ zjmPLb7WH9#^RPiRqGtkQzhI<*q4cq|PY;`Xapax+Mr5C#Lp!TY38SA0mk#Mts9nGf z^2bOkpAJ(8&AGsRxGvBLKT>QxZsntbg+5e^@15{_6Ce2)c%l1M9tD4uBl}N;G&W(v z*}~^oKHp(|m3|NTXxqv^1$jo2rzZ817bQ$%812hkaGm5weT@7yR^R9m&YIEk!xl{X z(U9Qu1aP(maL^eO3eOVG7+l#Lgmb0={<<%D!t;3WYk?ne)+BYFgLrFze6{#^sw{jy zhlE?KDsLTUH8X!ofFC@%2K}zDd?>)_AU?n&N&ELzI9cRBoqAC={pY246g=(J?}o#m zeUsvGf=s}J75U?-9tq(1wzGBK4Zw>9IQ<{W8VO9%@ObqZNqx|BMt={us>4pw8=lNP zW9)H)D|>=XSt`dWtn=D|ue}N?gS*Bx?$q1X`q89qV6D%It?;Jrq|&L>Rc-(^AASixw+zG=|$5T(55x^RtO%47&e zBF_|_L%Q!P=gW*n=r37o=V=3%GmM1`vdGXr6VN^b?e)%M`g>+A{fzlAxL-v2I5f7b zzBqj|p>Wl+`AD6j^hFciN%)6?a4XX{Mm~5+OLsTm>lD;We$77S%O6S9= zU7kVrNd|pL^2kMYO6EBsJkmyhDcZ&U4BQaDDFgVTq4-mGT7nO>lRX`HDV5*nji&DZ zSQRIlDlSHxXuPv3PHVr4t0hij@K(jaAEIMOuPFILnJRy=4z)SB+Zo_yv1jCWcrQN9 z*`}gRk|)g?Bj3XD4;s6Sj1`^>@I7NMA7f84+lMW$4_aA?+0=g=ZQDqh>SKq#iyoYL;vl`q<8{>{!@mrWaN&2OvlMloaewBYQzvK8_ z#IMTyJAQ@x)%>bl`Ia$fYHEZpeYnhPfQyW7Qu`Siql3)Zdw57RVca$P0^=LDHS~{S zZ@H$>i``;PS@be?8}zqvrX0pntJq-UHzPZo{A;oSy(H5*$-j$sTQ1tFjcB`FwAZ6g z@ttG*OwpDFWGS}VfgI-ts$sl?nb`wM>mL+t~ckVmwqVI(|Kork?l+)r{3 zI`X~V8uG*_>nHrG9L>Eor!aT(sgD>wThE;8Xc){XIp60V?|Nve{NJt0FQ4~h6%KXa zaBTk5gZz_%^1pdZ{zlq}KFjz#x0Gb8(OS$ng`rDgM{d&DWO`=}-uR|}oOy(3wlMg8 z0C*c(ZXedWcJi&WWOwlUd49L?yPaRjk*&PTS7Zyn+5>eDzdzwu=RSOb`i14P-cB{{ z^==|8EFYVJ3Cj^Cn{p5Ox%`~NJw6-QPiFXlwvR&JNFG_j`4$H=J3Z-2k`otEUWBt* z?W7xRbU61TwK=}e+8Gzku!-!~xvh#D9mMS+j{cc=#P~ep<3!)7cihVN!9nm`!F8|^ z0av_$3?1U`#e<5wgSayY4|QR!3n+h-{8}&Q0_L}XBbleM?KT5bvRCcDne(G%N8=ty z;t#bvez1i)M+5xE1^A`F5BfFjC(g!p@)63@{@xsYe*@1ho;^Iz^X%g}z*FQ&{tQ_v zUjXPd6WP#e^?Psqg|B0$9c%-%?LofPr^Is>-v{)a_rKrKdT~ZPi@u+A?J2gupXM>r z{8n*TPz3YPUNB+AfMtq%Uc`$wp7T8A} z=u=DIaab=8^Gl}5Uuhfj*5sh(9#>b4j+@`+rA`3v47O{^I3u93%Dx0RV~JC^Qx(3R zaD`dKH3i{u!eWE6r(LbP6q)zAm6?)B*ce+W_m#2C1E7FO%B(qjh3|sC zGyeBK@%@OtUH|(_hA!OeYjre8Rwt>q=!dS@AR4qL<&VUhOJdWh~_j)?LJjb_sZrGD=3l(7b0VY*kFuIPe9y$o4DVkX`Ga;lDdB@yj{^`0MKLc}@M|s{y{J zlTY^d6W|NxocHN|7RguRx02)>Ir{WRNh2N+&%~^?O334XCtZDr;m#&2sKb#8vZ zw)Dgvw5^wR(SL&H4u18#d2&D#@VJ0F75UbgAfnTU_vdnPmBSB#G!}M!rJoa~&AKJ&IclGSV|IGK#vX0>+2u?{a8vk8gufAlZ$=(y zE*HYaZ?sA4((*%;z92i6*6?Mkk{wg`H)*X(zBl5Jn2S9V8<*D0<+ozW0^dt0t64Bo ztko&M)ms*?Z~Qf~ntm{nwypyY^@C@HOI1I3_zBLcV!iudqaR-&UUgJI5pRBhc(vIR zS`#OKEpWaW)|2>$p^y4p(z@G=l5aa@HiLt3OVMwCELw^V!2eQJzU|6Ky_Ba8JJM&A zPjw*Q6#B1ir6WcB9+Y=GdGD*r%lbn?a>38L(YsA~p_TGZMK=6PQ06l7Z3yy#Q#@_0 zi9bXe2xf-3bzxj#M|`@qA%1TVHmF-CLglWmGY zNxn|vnyDw}y>nLH2Ga(wHth7S3)0;Y)NvnSi>vZx$lFWY9>Rt1Rf?nCB)il$&9sZ? zm4Q|_(>7hC6Tf9hr}Yx0QNKS&?Kj|-7OTI5$5dqHH+fguDdcGl%G7$yF6gFkhwux7 zaMmc|A4VUk3*b*ByqZ6nfUk9obAY*Sa$hl)+2rj9AM>7)-LJi(JK;`&*JVpoySe!G z;F~>Eb(_Wn?RJhsvekw-DH(YfSa5qU$dTK3!)*SNXrBf^>h7pITMA z14;+awZd<=kuDabJ9JFC9|iE5fwzKmuLSnJUmTO}*#O>j;Qbxxb_eMW9Fy+b0lYNu zW|A(#nfE7CpY5{GQJ;OJSHBXSbWXTv_02=7w{OcC0d3U&Q-kzRD?Rn_({D0&+)WJP z9j2IbwnR} zQ1k~k*_4XF6V1hg&jV)%>6!bR@5lK59N(7{ufBA9@XK1qkf|@@pi6zjwvJQAIkdO* zh9CSlIwN@&kv4@sIEAN~r-e{w%p=3jSuqrc3#QAPSlT0Z!k3u%3ub82?+gunN5 z_ua08?I-o)8=f~~!HL7HDO zPASg@(i{e#?*S9pQP#OPKjB?`@OqFYD!G-Vj-+3sxHR(2$rn#ZUu1N>zb9T}{wIm6 z&0#-Jvi{0ipjG6IniTk3TRr)f$)6>|9j;#^op_;J=}9}`UDBezy#5er1pEDzA)kgA z?KH7vE$iFTACSSPt|?x2_8ROH=w;SZ_-C&zf7N=@yz4uBbbpA6XHGe2JtbXXzkEfd z?|p~1RDD&)v!Uex`Xif)O&EHKu>-Cq?0~{j`@G4t(PZSOo!S?dOqHFs13hseX+&$y z#rJ}@=rxJ3Gk6#LyP>DnyHA1M=!TWlh@IX7;zHl!<=~;dE7^7}?Q~~w&W_+n&a0gk zlYT9IM`7ZPLuc&t4t+@d8u}8qnz(1t!=xXExO{kr~n@H`sR=Uf2}S?H}R6Jv+;qYfb|46wL)g?H>?c8(OFvZF7lQB=gf#|X&j(?(18(JO zgj+%QGeP)I2s;$_^FI-U{Rd&DY{DN4!ZdfdUE%0!KcJo);I#s}mSiDkcX?M)$4B@U zP71r6?}zkFK7G#%XmAZQ2BvLG z$E-WcF9N%8TGg5SoRfGre7%}_eU7;2(V-+)USpm7ZQgsn?ZcUiZuDk!rx)@PZ6AlO z+)KVDeku8kj~O(UPwcaS9`<8k6nGE$;YWN6r_Y=AXFiz5E(HA~2UYKj)E}{_T!#!& znA&*><%{2>yGag@uj+S8pxJ*h_Kpk6+t9Mr`xnY^>C0BZU(;>GmrC|ByXZz?I-`48 z#iCiqkc|}kkhhg~GqfU)O`L4Yf)TU!`MyR)&imxd5I4!%Dti>=Q#5Y;TJv2Kd^^Fn z_An}b0^j)il+UHzF9UbAWgh)&!`!XjKtKoagH79DOG-q+MK<%BLR?) z&%Ep?b1PRtJLx&PbLDfi*+X_8`r~Hrv%m29yp^)#Z>aO#RHl5HdMK}%?~s3Td`o8x z+oj9k~6Y(xl-`L*nNX z-xS0HtARD#CeGh7cDF_9qm)Jw6caUd0c77fyjzr^xaau}&!w3V@aWfH7mxV&L9lkvG@ml) zkCnm6-!FZYrocC%ulKuVVZ#?n+(p%KCC#k-<#T!Am*4L%a zx#(!$63n0u$HqNEoOu1){K^I>+I*_$rx)HA1bH9h*Z4N0Yi|W7oo%$0wYsg`z3#(i ze*=8{4Pcmc_$>YrY6Dl}73+4uqF$xQfb6y08Amx4ZZqX@3hp zo{#^fo1u&xb6JNp#M>$CEu=XU+furPHM*i?6nEti?*cDE8r=r5 z>-wVKex)^b-aao590$AxRZrf<-{@UKf!{&-MCjq4lV|SzfHv4+DX)P%I;TZC6l-LO zy{d=#UT4C7O;~|2<&9Y;%*brkmZ%eJhe|8@2k_pYzs0~UmiO-q%dwuEq)l`eSdumT z;dP|!T;`bYE9*wd9OtT#$E*V*FGOF#nBddG$d>Wuo%@HA>&l7~p0zqxADgOl^IG&b zt=&BM7;9ZY9I%ZamGBddV@9T^Kby55gXa$fm-#3HiT}-BJgvI0UX0(J=%j%awne;>)a);L9{@vN=U_LPa<4V*qhC;uEcwUvDM zZhQRj(5DC|-Oy@&Ijhm^Kd~I}OTS>woJ7wqMO(Lg^< zRDb`TJkqm;i%a=-ZZEzzFMD;Giw1el7fsWm!CvYodpNo%w!o8$(BY8uqxr_>LB27) zK^-WAWwZ|F)g2Aem!pE65+0gs`{#X!Fa=MrY7MNQ-s!zD`O3+b@^^wM9!c#ry0_AA z6`ye?ug|9)l@j%c6W%EK$l6*+6UohxCaifUw2qo^8F1?RkrruS%nJ_6y-X_U?mkrXrm*CL18QPv`x%KS~CP zZk!A0s=xOKb|A_Fmypg6g3qz#vv%Ed*ok4M_+a@X@DMa>u+veh)xjARR@13IUyX(z z=vS=wrT4yihx!)f#Rljf@Mmor-lS~J_ad~fw_ zca!!*(8z)=(hX`^-%fwa*U9eU`v7{I@vTkzpkKt2l&oP>0;;BCh@DLog^z>3;05E3tXcX z^)WD0+zUzm7;xpIRmVQ}n6)F$9>_7`Z`m^QUq{z{1f7;=?4#(+)L*)-v4iH0*g++) z3XcZ7O&?bu$%7vZ1Yf%q*+%6bBYrS=q+Q*~p3vS38@YRf@JN9_xasT&^|wzbEn(ts z(JGqV`^Du7OV4LLl=e@Wv-uLt^ELL9PWRQhCFVGZGe{>KB$wW#U5%V9IK{m1NiM#~ zcIK9IdQT0~7qb`PC#^H7?u%IUrH>QdaCUd8{-o|w#7P%Vfu?KliB&x!zy7R#OT8zx zQ=VJw{pd8u4&H6vdq)M~7ZM)4+r0Ol7=(X>@ZjC%y|*q1Zzeo=w|Va!8H9hB@ZjC% zy*CkrUqE>9Zu8!ILJ?=J76oW@gQTZeF6cMJAtCj##Nuv@qU^*v-)ac%-*5fWGY7 z(WGmyEo`hOJ*JB@U%%~X=2*{csMMwHVqGR$th1(IQ#r5xGvtY7<`=EZ(yyW??~ikK zN5pZ8(bV{&Wu1zScG~dwhic|m(39?n*!7&*{6xtf zA%mA)Gq=JT;RO+X88}t4|7f(bmguE_8d4)XE_z)o{UJoG`Vq|!J#hQ>4(E#^|8FMzYDj?q?1nK z<2j{bpFBQ7T_W~}PAl7&w~gM6Y_gx3310AtbxtYrz73MiOfCF#NN;OgS2>Ax*SBDZ zkKna>cn*8+c{X8V?TZIW(Tg|QQERdtdEYwaZSc!Wi7x5`h9~V7w{k8tM@|Z!nY$u4 z(Z`OTE;2Vsn`SQx(`vmi?+lh?w|cUkb!qAq8O6H7gwdi+;&AMo%BY6%qt$09mwtBS zEY(rd7`rJuGS@Cg>Yu1+9JZZ-Qiz*y(!8-cOuR*-UKR3ti2vumH&|MVe2+TpKYe1b z#NP1H;jfdrww!zldaE6xv=8kXxwNl%CFSMbqhH<%{=M0O(%S6Cdg)ZV(u@h#c@6l1 zCHB%sp=~KLqi>q}q3Lt#i}bsJCqF}9W?xI?!Q$QJ2aD)+mAl*jk-iM?7C+Mv8%y~! zo~}gdPB#57a!FtLAG6?}87!?R(hrKCIj!d8-qPt!@N*5mH;H`d!b@7qr?hsInK$nr z^@~CE!>d@iq;IKDTsYh(fHgYu-FwQmJ+3kz{Y?F$k3NxuPol~3#YnwP`?(c;e{4jL z+WbYre#G}HPtWjqn>oDUZFt=0?M!DmN#8g89nT{x2H|nPtse;brDa`MiP+~x)i(v( z@V@d*Az$3*f0g}i=^dqAN?Ov_pSQN8KBDxKV};TKC*_NyvRg{k@U5cwb&B>^HboZO zWnYGUxo9I7BA2vA(5VwO)SLFIY(h7UERHf(50=)Zl%}CVKl9UYHvOmJgRq^cGyeBe zq>nY(*A0|T;FmsSzN6P|6y2LbI^NdUJKPUd=i3_H%7yRiHMD&%zM`yp@zkfPc3wWY`ec;aNq-gE6)q#HkPUCCLvu4HwJMx)q^J|Ee8 zxgFuI2kKsa0Nv$Uhjr7|zVe0emfAVbI>BzvAlQeEJ(9h=7|rZ0hUx4Y^q24Q6bUcB zT#RHdc1C5~@pV>ye3<6gxZUp&H_3jFe8klhH#bbXZO@O3YiVH2j{rwm8l1K(D^5h|P6kB#&O7!Jf*PB)fhM z{A}iSzux+q_V~4t7TduEvd_MCpJna((@d#JxaK=6r%vEeFV$Hf}QTvs%r&%|x zT73P|3!KweIahb*dX{&uSmm5`)>(g-fN`u7&g@*iD%Z96R%iIHc~#HK2M@3$Hd>XYU!e$8jN$x-SH_;+EXBa#gn&b+26hi3@!kRZi#9 zRX6l>=ll%KolBQ=uUy=-v~x9;TfU+y-Kv{%OIIq@;;y6cxvqQV5}=q;R|%o!CEYjP zxY${>l=On+bS+=i<8)u=+`N26XZOvHsq`u!pK#_4*LH%`b<3|e6;a;Y;+|zrPq*U} z+__=ttLAv&y#VdPm$~m*UdzN3fd5Ux-?F3tRzbOF5F;uY6-zZb*+UWZ}b z_n=IW?s_%Id%+9Jg&}g?tCsgHeYdnBe$d3pt?cgUUeeulytF~N3+Bx_UhENK2k_dL zu3B|$Qyodfo1bp-S?5@Z8yEL1S$1vrEob^%dghIbSJ3-cs`n?Rb?0tfY5Lp5X@BFK zlS-ZE%v#(-2fx{A>FT=a#!1ec+|m_GJEt#R(do?W={zf8bu3%H%Hd!A&so)dUC+&n zS1$c9odR}UrjVQHH4c3Trq11}dPB?3@ zK64pGoCQ744)HZGZtPyU)LFjbx^88a{F*+0_9cs2GMPowTV}V;Y@4UBmP;;~*Vf)X zh^?U|V^S6XKpQDs?1?1Ul-IH8CW%qo%OzlS0yjH?n4!|AI;k-|g^ z{Zi9V4q3iv3gB0ZBGL`ZBHqL5o_X_)7dT56uXsNa1)4j76dvy^S+;b^4bH95)d}k5 z{QcsU%NJkUwUp+t&OAy?@jWbhEbID}OHtn~>+G9Wtvq|xG8$@_x@X^b?TYTjx!hT| z4EOq(;aUAXey+BUPTqixX@GiJBWxgrQ7dd@sy20&xNHF}q zXm*PN7R_$!SOg$H?V^q=Gi{4H=FFLSQOmsJ#o9Q^$)Fm$gqn zeq3u?`(+(-GRMs~TUD7!KI$-S&a7Fmvj0AFM%(O;MQsb(rY)kb)nO28UdQ~*qM0+= zJBDHU6g*PC`CfGK{FyV`r_F0?n_XQeKW^5XRth$CX`i3T%$e8G)*9rW<`-@X2x&2U z{;Z4I<}I3YF>PY11Kma2mT4X8ZD9*l!=nR=^wXxdRnc_X%sK5xk`LqWw-%Pkj*F+l z4)fG`4Xi3$#3s`&Gu=9fn?G-!s1y=7#MLm)(KMeiZO&0N(D$NC+UCq^>zIeHmk%$1 z^C#g~%$avt%e=OhFx`yVnfcV55W}Z_ou|)%2A3R#%d9yaGcFbhrq7t&5ztEnnKQei zZ9&JP>1{JJfE=bl7~V2%T3e>$NO;T4nRBjaYc-^vGy4b}k)B%2qE8OP2*MD8Gp;iI zA>jWo?TpzoW+}$t3@$-<`}CGpCI6G~ju};LcO?C+mIVgRqUm$yVSD(Ke8cgG3u^Kw z@kcS{F}MUW;Ygz%8!rwTh6zuY-Y|oHLjx=dc==CwkNySv)nZ^414YXv$CnYqbnr)! zBlu@1M@{JWkXbF$Xj(&OAHLtUBt#Z<%;=ceCNVP%D{S9s^V>V-FmVm*bu?15F;k%T z&05qkuVr@o%=y#WW>34)^#7y4E^3G27Sa4wbOMJ<=CvRUmGfdo#N(G?*taUqN4n)A z@ey@1xsCL>c#c2}*esC~#x(Z!;~a%I4M4sYVw^b)ED|u?3Lw&cZq6;x` zw=z9j#mYOE71|kbQ-yN3<+He>U-0yco_@*GCmi)x1>~`#W*!$wl;pPLHc>_RiWWDI z8*C>&$C#p{Gv(9bV`j@v+OB9|GM-~GUSFGQu{g?6&pGomB?3Q)Cl;4x)Uo+DoCX+^ z*`b;?{lcCTZFTd~LcL3Es<_TR7txV^0(7Tz;OPz~ZZZ-d3%N2Rw0< zCo-k$8BqjQ8zIK`bCzT;`a9nUeDjl6r^a(8lTWT0S})?JyA7!o*7JPI-JeC^j%^Fh zgkcny%pki^YCpXXR&o}%-YwjXXvb}txLepb8AXFqqUoCTJ{xAt-{WsD`JQ7gLU%*; zx0u8e&1=vPLARj9kIfrU;=JY>RQoEVFy{NNk4F@okTmT<*3#t;kG~q})}l#BG^UhQ z(0ZrYoQ=zRe~>?KCwXp*6*^g8rvja03G{Sac5l}__1ix0-yZX2m4DUOQ?5Inl&IR<3L`FMyvm5P)F8ip}0`=N}>K_C}lLVngS(fy|mO-+^0*+TQtPlvs@ zALtxX*hJ&*r!9qi0v%U$JNI%Qc zKkr(19GDhk*8${04DfRW?Q4WHog|uqIW<0a>LZuyqTA`xyOS8lqCmuGIYu3bJ!BD0 znNukt5cc2n(=+wm)gRn7Bgd3M2cJ4LQy$WL^H1-cz7M+yK{ZV-oYiV8cG^UnnR4gG&y-`b-Ga39OxuTeLpRs?-k}Q@HYMN8i-d5d+xR~3wyDpL zb<3B-mNo5kXp^;C>N-DE41)k=?&g4=UG63JP1+tAKV$A(^pnUD8BUA&_sm~->g*~8 z(jSv9&fyqkRXKEQww`8o4ed~LYho+hdz_om z+v>QJGmnybq1}1@U&WrpOzxB8S?5panAbLSQ7;)}bk~pKKK|t{YhisD#|bQ6Ma3$P z#tK!|m2qYR=`;VOfTcE^FMn~OORTtpXLSHvBCwQc-_en(D% zgpeyic05j+F@xAW}Li)lP zMo-UA9V&|T^=31U56R3U8f}&phD~!>_GzL$s=Jl_X~$h>=W(@Nm}hNa=^G0#tgId5 z_O(d869!rqEt6nTChJWQt%`7#B8IK76GlnrM_!E7F8f$JMZsF(}SKq;pz81y$0O}-_@TQ z!*@Y*?YBG3e(1fkI}P8Woelpa)Wkc@0bkw)J@JZhcKy;1jkD{W@9?pU=fCGe7ylT( zeVLB~B|a3I)#gsV1o731@jMFUTe|rKIB>bn?}L7nvfld`U>Jr^O8L7#G3MV;jfKBe z&XXUL`5)6BWDn^&KwQP)i^FgCGQqg^6QSF_jSimz3v!l zSHJmEKig2XEBVy!Ta?xA4XDO>3#xJJPNMO?Ls{cp&|qU5H&e4i?}sz~zPpIIng8vx zrcrkIPF=-K(@5oZ_Dut4!8R7YdUCFc*G;1nbLDR&qm27#O{>B**0Vt)I2_Z3HR=l6 z6@Q)SbHS$Nlz&&clQy{Crd09Wm|J&a;rUYyJ4}qDh{%VeHB|Zx&^m}ucISlnco6DEg+oAni%<5b!=fK%q4h4WI3Dfad7%9wKH0BJ zY*yK=ki@I>Wgy!lKG~h*Q~W}T%FwT#3&(+MmGZJz?|!--$8^6`nH8XYD?Zt~eNk=i zLVKdx?=OPDC;NCHGR|(QUSbA5OJ1^SZ_9pPovCdrMVCUmXDJ0fckaRWsygyXe8L6@ ze5?2(s}20T{d!WT@X9wS20r-<*S=Pt3xO~6tNVrcG~OHV-GJ|aoC}`<-^-NY>wg=1 z=Qi=Sv$ft!N0kEKcgctL?!tE$zEC&KcaehhSZgdl=H5g2E>ka67oYqa6F<1UEvnV8 z_;$g!>mhu)^@W^3{@}s6xQuc%JB5VbMZ+ZLJF_k